Evaluation and Design Space Exploration of a Time-Division Multiplexed NoC on FPGA for Image Analysis Applications - AGPIG Accéder directement au contenu
Article Dans Une Revue EURASIP Journal on Embedded Systems Année : 2010

Evaluation and Design Space Exploration of a Time-Division Multiplexed NoC on FPGA for Image Analysis Applications

Résumé

The aim of the paper is to present an adaptable Fat Tree NoC architecture for Field Programmable Gate Array (FPGA) designed for image analysis applications. Classical NoC are not adapted for dataflow applications with large amount of data. On the opposite, point to point communications are resource and wire expensives. We propose a dedicated communication architecture for image analysis algorithms. This communication is a generic NoC infrastructure dedicated to dataflow image processing applications, mixing circuit-switching and packet-switching communications. The complete architecture integrates two dedicated communication architectures and reusable IP blocks. Communications are based on the NoC concept to support the high bandwidth required by a large number and type of data. For data communication inside the architecture, an efficient time-division multiplexed (TDM) architecture is proposed. This NoC uses a Fat Tree (FT) topology with Virtual Channels (VC) and flit packet-switching with fixed routes. Two versions of the NoC are presented in this paper. The results of their implementations and their Design Space Exploration (DSE) on Altera StratixII are analyzed and compared with a point to point communication illustrated with a multispectral image application. Results show that a point-to-point communication scheme is not efficient for large amount of multispectral image data communications. A NoC architecture uses only 10% of memory blocks required for a point to point architecture but seven time more logic elements. This resource allocation is more adapted to image analysis algorithms as memory elements are critical point in embedded architectures. A FT NoC-based communication scheme for data transfers provides a more appropriate solution for resource allocations.
Fichier principal
Vignette du fichier
542035.v3-1.pdf (1.25 Mo) Télécharger le fichier
Origine : Fichiers produits par l'(les) auteur(s)
Loading...

Dates et versions

hal-00455123 , version 1 (09-02-2010)

Identifiants

Citer

Linlin Zhang, Virginie Fresse, Mohammed Khalid, Dominique Houzet, Anne-Claire Legrand. Evaluation and Design Space Exploration of a Time-Division Multiplexed NoC on FPGA for Image Analysis Applications. EURASIP Journal on Embedded Systems, 2010, 2010, pp.542035. ⟨10.1155/2009/542035⟩. ⟨hal-00455123⟩
307 Consultations
192 Téléchargements

Altmetric

Partager

Gmail Facebook X LinkedIn More