S. Altmeyer, R. I. Davis, L. Indrusiak, C. Maiza, V. Nelis et al., A Generic and Compositional Framework for Multicore Response Time Analysis, Proceedings of the International Conference on Real Time and Networks Systems, RTNS '15, pp.129-138, 2015.
URL : https://hal.archives-ouvertes.fr/hal-01231700

N. Audsley, A. Burns, M. Richardson, K. Tindell, and A. J. Wellings, Applying new scheduling theory to static priority pre-emptive scheduling, Software Engineering Journal, vol.8, pp.284-292, 1993.

S. Baruah and N. Fisher, The partitioned multiprocessor scheduling of sporadic task systems, 26th IEEE International Real-Time Systems Symposium (RTSS'05), pp.9-329, 2005.

S. Baruah and N. Fisher, The partitioned multiprocessor scheduling of deadline-constrained sporadic task systems, IEEE Transactions on Computers, vol.55, issue.7, pp.918-923, 2006.

A. Burns and R. I. Davis, A Survey of Research into Mixed Criticality Systems, ACM Comput. Surv, vol.50, issue.6, 2017.

P. Emberson, R. Stafford, and R. I. Davis, Techniques For The Synthesis Of Multiprocessor Tasksets, Workshop on Analysis Tools and Methodologies for Embedded and Real-time Systems (WATERS), pp.6-11, 2010.

G. Fohler, Joint scheduling of distributed complex periodic and hard aperiodic tasks in statically scheduled systems, Proc. Real-Time Systems Symposium (RTSS), pp.152-161, 1995.

M. R. Guthaus, J. S. Ringenberg, D. Ernst, T. M. Austin, T. Mudge et al., MiBench: A free, commercially representative embedded benchmark suite, Proc. of the Int. Workshop on Workload Characterization, pp.3-14, 2001.

S. Hahn, J. Reineke, and R. Wilhelm, Towards Compositionality in Execution Time Analysis: Definition and Challenges, SIGBED Rev, vol.12, issue.1, pp.28-36, 2015.

M. Hassan and H. Patel, Criticality-and Requirement-Aware Bus Arbitration for Multi-Core Mixed Criticality Systems, 2016 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS), pp.1-11, 2016.

M. Hassan, H. Patel, and R. Pellizzoni, A framework for scheduling DRAM memory accesses for multi-core mixed-time critical systems, 21st IEEE Real-Time and Embedded Technology and Applications Symposium, pp.307-316, 2015.

F. Hebbache, M. Jan, F. Brandner, and L. Pautet, Dynamic Arbitration of Memory Requests with TDM-like Guarantees, International Workshop on Compositional Theory and Technology for Real-Time Embedded Systems (CRTS'17), 2017.
URL : https://hal.archives-ouvertes.fr/hal-02287739

F. Hebbache, M. Jan, F. Brandner, L. Pautet-;-a.-kostrzewa, S. Saidi et al., Slack-based Resource Arbitration for Real-time Networkson-chip, Proceedings of the Conference on Design, Automation & Test in Europe, DATE '16, pp.1012-1017, 2016.

H. Leontyev and J. H. Anderson, Generalized Tardiness Bounds for Global Multiprocessor Scheduling, 28th IEEE International Real-Time Systems Symposium (RTSS 2007), pp.413-422, 2007.

Y. Li, B. Akesson, and K. Goossens, Architecture and Analysis of a Dynamically-scheduled Real-time Memory Controller. Real-Time Syst, vol.52, pp.675-729, 2016.

M. Paolieri, E. Quiñones, F. J. Cazorla, G. Bernat, and M. Valero, Hardware Support for WCET Analysis of Hard Real-time Multicore Systems, Proceedings of the International Symposium on Computer Architecture, ISCA '09, pp.57-68, 2009.

H. Rihani, M. Moy, C. Maiza, and S. Altmeyer, WCET analysis in shared resources real-time systems with TDMA buses, Proceedings of the International Conference on Real Time and Networks Systems, RTNS '15, pp.183-192, 2015.
URL : https://hal.archives-ouvertes.fr/hal-01243244

M. Schoeberl, P. Schleuniger, W. Puffitsch, F. Brandner, C. W. Probst et al., Towards a Time-predictable Dual-Issue Microprocessor: The Patmos Approach. In Bringing Theory to Practice: Predictability and Performance in Embedded Systems, OASICS, pp.11-21, 2011.
URL : https://hal.archives-ouvertes.fr/inria-00585320

R. Tabish, R. Mancuso, S. Wasly, A. Alhammad, S. S. Phatak et al., A Real-Time Scratchpad-Centric OS for Multi-Core Embedded Systems, Real-Time and Embedded Technology and Applications Symposium (RTAS), pp.1-11, 2016.